登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 運費計算  | 聯絡我們  | 幫助中心 |  加入書簽
會員登入 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類瀏覽雜誌 臺灣用戶
品種:超過100萬種各類書籍/音像和精品,正品正價,放心網購,悭钱省心 服務:香港台灣澳門海外 送貨:速遞郵局服務站

新書上架簡體書 繁體書
暢銷書架簡體書 繁體書
好書推介簡體書 繁體書

四月出版:大陸書 台灣書
三月出版:大陸書 台灣書
二月出版:大陸書 台灣書
一月出版:大陸書 台灣書
12月出版:大陸書 台灣書
11月出版:大陸書 台灣書
十月出版:大陸書 台灣書
九月出版:大陸書 台灣書
八月出版:大陸書 台灣書
七月出版:大陸書 台灣書
六月出版:大陸書 台灣書
五月出版:大陸書 台灣書
四月出版:大陸書 台灣書
三月出版:大陸書 台灣書
二月出版:大陸書 台灣書

『簡體書』数字逻辑(第五版)

書城自編碼: 3660463
分類:簡體書→大陸圖書→教材研究生/本科/专科教材
作者: 欧阳星明
國際書號(ISBN): 9787568073318
出版社: 华中科技大学出版社
出版日期: 2021-08-01

頁數/字數: /
書度/開本: 16开 釘裝: 平装

售價:HK$ 68.8

我要買

 

** 我創建的書架 **
未登入.


新書推薦:
写意兰竹树石课徒稿
《 写意兰竹树石课徒稿 》

售價:HK$ 110.4
不较真的心理智慧
《 不较真的心理智慧 》

售價:HK$ 59.8
漫画算法与数据结构(大规模数据集)
《 漫画算法与数据结构(大规模数据集) 》

售價:HK$ 95.8
欧洲的扩张1415—1789:现代世界的奠基
《 欧洲的扩张1415—1789:现代世界的奠基 》

售價:HK$ 177.6
严禁造谣
《 严禁造谣 》

售價:HK$ 59.8
引导的秘诀:通过团队合作获得结果的SMART指南(最新修订版)(白金版)
《 引导的秘诀:通过团队合作获得结果的SMART指南(最新修订版)(白金版) 》

售價:HK$ 118.8
文史星历:秦汉史丛稿
《 文史星历:秦汉史丛稿 》

售價:HK$ 141.6
神灵衰落:祈雨与乾隆朝的信仰危机
《 神灵衰落:祈雨与乾隆朝的信仰危机 》

售價:HK$ 106.8

 

建議一齊購買:

+

HK$ 105.5
《 大学语文(第十版) 》
+

HK$ 78.1
《 中医养生学——十三五规划 》
+

HK$ 97.4
《 食品营养学(第3版) 》
+

HK$ 103.5
《 药物化学(第8版/本科药学/配增值) 》
+

HK$ 67.5
《 保健食品学(普通高等教育“十三五”规划教材) 》
+

HK$ 130.6
《 神经病学(第8版/本科临床/配增值) 》
編輯推薦:
本书体系新颖、结构合理、取材先进、文句精练,题例丰富。扫一扫书中有关二维码可以获得多媒体课件(学生版)、实验演示和学习自评。此外,编写了与教材 配套的教辅教材(数字逻辑学习与解题指南》(第二版) , 研究开发了数字逻辑虚拟实验软件、多媒体课件(教师版)、网络课件、视频课件、试题库和远程教育课件包等教学资源,构成了一个集理论教学、实践教学以及自学。自测等环节为体的立体化教学系统。
內容簡介:
本教材是在参照全国高校计算机专业教学指导委员会,中国计算机学会教育委员会与全国高等学 校计算机教育研究公联合推荐的计算机学科教划2000指导思想的基础上,从传投知识 和培养能力出发编写而成的,以飞速发展的数字集成电路为背景, 将数字电子技术和数字逻辑电路的有关知识融为一体,系统地介绍了数字系统逻辑电路分析与设计的基本知识、理论和方法,并讨论了采用各种不同规模的逻辑器件进行分析与设计的详细过程。全书内容包括基本知识、逻辑代数基础、集成门电路与触发器、组合逻辑电路、同步时序逻辑电路、异步时序逻辑电路、中规模通用集成电路、可编程逻辑器件、综合设计举例和VHDL基础等。
關於作者:
华中科技大学教授、研究生导师。国家精品课程、国家精品资源共享课程“数字电路与逻辑设计”负责人和主讲教授,长期从事计算机应用领域的研究,主持和参与完成各类科研项目30余项,获得省部级科技成果奖4项,发表学术论文50 余篇,主编、参编教材10余本,其中“八五”、“九五”、“十一五”规划教材各一本。
目錄
第1章基本知识(1)
1.1概述(1)
1.1.1数字系统(1)
1.1.2数字逻辑电路的类型和研究方法(3)
1.2数制及其转换(4)
1.2.1进位计数制(4)
1.2.2数制转换(7)
1.3带符号二进制数的代码表示(10)
1.3.1原码(10)
1.3.2反码(11)
1.3.3补码(12)
1.4几种常用的编码(13)
1.4.1十进制数的二进制编码(13)
1.4.2可靠性编码(15)
*1.4.3字符编码(17)
习题一(18)
第2章逻辑代数基础(19)
2.1逻辑代数的基本概念(19)
2.1.1逻辑变量及基本逻辑运算(20)
2.1.2逻辑函数及逻辑函数间的相等(22)
2.1.3逻辑函数的表示法(23)
2.2逻辑代数的基本定理和规则(24)
2.2.1基本定理(24)
2.2.2重要规则(25)
2.2.3复合逻辑(27)
2.3逻辑函数表达式的形式与变换(29)
2.3.1逻辑函数表达式的基本形式(29)
2.3.2逻辑函数表达式的标准形式(29)
2.3.3逻辑函数表达式的转换(32)
2.4逻辑函数化简(34)
2.4.1代数化简法(34)
2.4.2卡诺图化简法(36)
*2.4.3列表化简法(44)
习题二(48)
第3章集成门电路与触发器(50)
3.1数字集成电路的分类(50)
3.2半导体器件的开关特性(51)
3.2.1晶体二极管的开关特性(52)
3.2.2晶体三极管的开关特性(55)
3.3逻辑门电路(57)
3.3.1简单逻辑门电路(58)
3.3.2TTL 集成逻辑门电路(60)
3.3.3CMOS集成逻辑门电路(70)
3.3.4正逻辑和负逻辑(75)
3.4触发器(76)
3.4.1基本RS触发器(77)
3.4.2常用的时钟控制触发器(81)
习题三(91)
第4章组合逻辑电路(94)
4.1组合逻辑电路分析(94)
4.1.1分析方法概述(94)
4.1.2分析举例(95)
4.2组合逻辑电路设计(97)
4.2.1设计方法概述(97)
4.2.2设计举例(98)
4.2.3设计中几个实际问题的处理(101)
4.3组合逻辑电路的险象(108)
4.3.1险象的产生(109)
4.3.2险象的判断(110)
4.3.3险象的消除(112)
习题四(114)
第5章同步时序逻辑电路(115)
5.1时序逻辑电路概述(115)
5.1.1时序逻辑电路的结构(115)
5.1.2时序逻辑电路的分类(116)
5.1.3同步时序逻辑电路的描述方法(117)
5.2同步时序逻辑电路分析(119)
5.2.1分析方法和步骤(119)
5.2.2分析举例(120)
5.3同步时序逻辑电路设计(126)
5.3.1设计的一般步骤(126)
5.3.2完全确定同步时序逻辑电路设计(127)
*5.3.3不完全确定同步时序逻辑电路设计(140)
5.3.4同步时序逻辑电路设计举例(145)
习题五(151)
第6章异步时序逻辑电路(154)
6.1异步时序逻辑电路的特点与分类(154)
6.2脉冲异步时序逻辑电路(155)
6.2.1脉冲异步时序逻辑电路的结构模型(155)
6.2.2脉冲异步时序逻辑电路的分析(155)
6.2.3脉冲异步时序逻辑电路的设计(158)
6.3电平异步时序逻辑电路(163)
6.3.1电平异步时序逻辑电路的结构模型与描述方法(163)
6.3.2电平异步时序逻辑电路的分析(166)
6.3.3电平异步时序逻辑电路的竞争(168)
*6.3.4电平异步时序逻辑电路的设计(169)
习题六(179)
第7章中规模通用集成电路及其应用(182)
7.1常用中规模组合逻辑电路(182)
7.1.1二进制并行加法器 (182)
7.1.2译码器和编码器 (186)
7.1.3多路选择器和多路分配器(193)
7.2常用中规模时序逻辑电路(198)
7.2.1集成计数器(198)
7.2.2集成寄存器(203)
7.3常用中规模信号产生与变换电路(206)
7.3.1集成定时器555及其应用(206)
7.3.2集成D/A转换器 (213)
7.3.3集成A/D转换器(219)
习题七(223)
第8章可编程逻辑器件(224)
8.1PLD概述(224)
8.1.1PLD的发展(224)
8.1.2PLD的一般结构(225)
8.1.3PLD电路表示法(225)
8.2低密度可编程逻辑器件(227)
8.3复杂可编程逻辑器件(CPLD)(229)
8.3.1CPLD简介(229)
8.3.2CPLD典型器件(230)
8.4现场可编程门阵列(FPGA)(237)
8.4.1FPGA简介(237)
8.4.2Xilinx FPGA典型器件(238)
8.4.3FPGA设计流程(244)
8.5FPGA和CPLD对比(248)
8.6Vivado开发环境及设计流程(248)
8.6.1Vivado设计套件简介(248)
8.6.2Vivado设计套件中的FPGA设计流程(251)
习题八(254)
第9章综合应用举例(255)
9.1简单运算器设计(255)
9.1.1设计要求(255)
9.1.2功能描述(255)
9.1.3电路设计(256)
9.2时序信号发生器设计(258)
9.2.1设计要求(258)
9.2.2功能描述(258)
9.2.3电路设计(259)
9.3弹道计时器设计(261)
9.3.1设计要求(261)
9.3.2功能描述(261)
9.3.3电路设计(262)
9.4汽车尾灯控制器设计(265)
9.4.1设计要求(265)
9.4.2功能描述(265)
9.4.3电路设计(267)
9.5数字钟设计(269)
9.5.1设计要求(269)
9.5.2功能描述(269)
9.5.3电路设计(270)
习题九(273)
附录A硬件描述语言VHDL基础(275)
A.1VHDL概述(275)
A.2VHDL的语言要素(281)
A.3VHDL的基本语句(287)
A.4VHDL设计举例(294)
附录B英汉名词对照(299)
附录C数字资源列表(303)
参考文献(304)
第1章基本知识(1)
1.1概述(1)
1.1.1数字系统(1)
1.1.2数字逻辑电路的类型和研究方法(3)
1.2数制及其转换(4)
1.2.1进位计数制(4)
1.2.2数制转换(7)
1.3带符号二进制数的代码表示(10)
1.3.1原码(10)
1.3.2反码(11)
1.3.3补码(12)
1.4几种常用的编码(13)
1.4.1十进制数的二进制编码(13)
1.4.2可靠性编码(15)
*1.4.3字符编码(17)
习题一(18)
第2章逻辑代数基础(19)
2.1逻辑代数的基本概念(19)
2.1.1逻辑变量及基本逻辑运算(20)
2.1.2逻辑函数及逻辑函数间的相等(22)
2.1.3逻辑函数的表示法(23)
2.2逻辑代数的基本定理和规则(24)
2.2.1基本定理(24)
2.2.2重要规则(25)
2.2.3复合逻辑(27)
2.3逻辑函数表达式的形式与变换(29)
2.3.1逻辑函数表达式的基本形式(29)
2.3.2逻辑函数表达式的标准形式(29)
2.3.3逻辑函数表达式的转换(32)
2.4逻辑函数化简(34)
2.4.1代数化简法(34)
2.4.2卡诺图化简法(36)
*2.4.3列表化简法(44)
习题二(48)
第3章集成门电路与触发器(50)
3.1数字集成电路的分类(50)
3.2半导体器件的开关特性(51)
3.2.1晶体二极管的开关特性(52)
3.2.2晶体三极管的开关特性(55)
3.3逻辑门电路(57)
3.3.1简单逻辑门电路(58)
3.3.2TTL 集成逻辑门电路(60)
3.3.3CMOS集成逻辑门电路(70)
3.3.4正逻辑和负逻辑(75)
3.4触发器(76)
3.4.1基本RS触发器(77)
3.4.2常用的时钟控制触发器(81)
*3.4.3不同类型时钟控制触发器的相互转换(91)
习题三(94)
第4章组合逻辑电路(97)
4.1组合逻辑电路分析(97)
4.1.1分析方法概述(97)
4.1.2分析举例(98)
4.2组合逻辑电路设计(100)
4.2.1设计方法概述(100)
4.2.2设计举例(101)
4.2.3设计中几个实际问题的处理(104)
4.3组合逻辑电路的险象(111)
4.3.1险象的产生(112)
4.3.2险象的判断(113)
4.3.3险象的消除(115)
习题四(117)
第5章同步时序逻辑电路(118)
5.1时序逻辑电路概述(118)
5.1.1时序逻辑电路的结构(118)
5.1.2时序逻辑电路的分类(119)
5.1.3同步时序逻辑电路的描述方法(120)
5.2同步时序逻辑电路分析(122)
5.2.1分析方法和步骤(122)
5.2.2分析举例(123)
5.3同步时序逻辑电路设计(129)
5.3.1设计的一般步骤(129)
5.3.2完全确定同步时序逻辑电路设计(130)
*5.3.3不完全确定同步时序逻辑电路设计(143)
5.3.4同步时序逻辑电路设计举例(148)
习题五(154)
第6章异步时序逻辑电路(157)
6.1异步时序逻辑电路的特点与分类(157)
6.2脉冲异步时序逻辑电路(158)
6.2.1脉冲异步时序逻辑电路的结构模型(158)
6.2.2脉冲异步时序逻辑电路的分析(158)
6.2.3脉冲异步时序逻辑电路的设计(161)
6.3电平异步时序逻辑电路(166)
6.3.1电平异步时序逻辑电路的结构模型与描述方法(166)
6.3.2电平异步时序逻辑电路的分析(169)
6.3.3电平异步时序逻辑电路的竞争(171)
*6.3.4电平异步时序逻辑电路的设计(172)
习题六(182)
第7章中规模通用集成电路及其应用(185)
7.1常用中规模组合逻辑电路(185)
7.1.1二进制并行加法器 (185)
7.1.2译码器和编码器 (189)
7.1.3多路选择器和多路分配器(196)
7.2常用中规模时序逻辑电路(201)
7.2.1集成计数器(201)
7.2.2集成寄存器(206)
7.3常用中规模信号产生与变换电路(209)
7.3.1集成定时器555及其应用(209)
7.3.2集成D/A转换器 (216)
7.3.3集成A/D转换器(222)
习题七(226)
第8章可编程逻辑器件(227)
8.1PLD概述(227)
8.1.1PLD的发展(227)
8.1.2PLD的一般结构(227)
8.1.3PLD的电路表示法(228)
8.1.4PLD的分类(229)
8.2低密度可编程逻辑器件(229)
8.2.1可编程只读存储器(230)
8.2.2可编程逻辑阵列(PLA)(235)
*8.2.3可编程阵列逻辑(PAL)(237)
8.2.4通用阵列逻辑(GAL)(238)
8.3高密度可编程逻辑器件(241)
8.3.1复杂可编程逻辑器件(241)
8.3.2现场可编程门阵列(248)
8.3.3在系统可编程逻辑器件(257)
8.4在系统编程技术简介(263)
8.4.1ISP技术的主要特点(263)
8.4.2编程原理与接口电路(264)
8.4.3开发软件与设计流程(266)
习题八(268)
第9章综合应用举例(270)
9.1简单运算器设计(270)
9.1.1设计要求(270)
9.1.2功能描述(270)
9.1.3电路设计(271)
9.2时序信号发生器设计(273)
9.2.1设计要求(273)
9.2.2功能描述(273)
9.2.3电路设计(274)
9.3地址译码电路设计(276)
9.3.1设计要求(276)
9.3.2功能描述(277)
9.3.3电路设计(277)
9.4弹道计时器设计(281)
9.4.1设计要求(281)
9.4.2功能描述(281)
9.4.3电路设计(282)
9.5汽车尾灯控制器设计(284)
9.5.1设计要求(284)
9.5.2功能描述(285)
9.5.3电路设计(286)
9.6数字钟设计(288)
9.6.1设计要求(288)
9.6.2功能描述(288)
9.6.3电路设计(289)
习题九(292)
附录A硬件描述语言VHDL基础(294)
A.1VHDL概述(294)
A.2VHDL的语言要素(300)
A.3VHDL的基本语句(306)
A.4VHDL设计举例(313)
附录B英汉名词对照(318)
参考文献(322)
內容試閱
我们正处在一个信息急剧增长的时代,事物的发展和技术的进步,对传统的教育体系和人才培养模式提出了新的挑战。21世纪的高等教育正在对专业结构、课程体系、教学内容和教学方法进行系统的、整体的改革,教材建设是改革的重要内容之一。随着信息技术的飞速发展,各行各业对信息学科人才的需求越来越大。如何为社会培养更多的具有创新能力、解决实际问题能力和高素质的信息学科人才,是目前高等教育的重要任务之一。“数字逻辑”是信息学科各专业学生必修的一门重要专业技术基础课。设置本课程的主要目的是:使学生掌握数字系统分析与设计的基本知识与理论,熟悉各种不同规模的逻辑器件,掌握各类逻辑电路分析与设计的基本方法,为数字计算机和其他数字系统的硬件分析与设计奠定坚实的基础。针对教学需求,国内外出版了大量相关的教科书,这些教科书各具特色,其中有许多被公认是十分优秀的作品。然而,该领域的教科书一般都因摩尔定律而适用周期受限。为了适应不断发生的各种变化,优秀的教科书也必须不断更新、完善。本教材版是在参照全国高校计算机专业类教学指导委员会、中国计算机学会教育工作委员会与全国高等学校计算机教育研究会联合推荐的《计算机学科教学计划2000》指导思想的基础上,从传授知识和培养能力的目标出发,吸取国内外最新相关教材优点,结合作者长期从事教学与科研积累的知识、经验,以及本课程的特点、要点和难点编写的。自2000年出版以来,本教材已先后4次改版,版至第四版发行后受到了广大读者的关爱,在20年的时间里先后45次印刷,发行20多万册。该教材一直作为国家精品课程“数字电路与逻辑设计”的主教材,并列入国家“十一五”规划教材。然而,数字技术的发展日新月异,随着时代的发展和教学改革的不断深入,在教材使用过程中我们深感其仍存在某些不尽人意的地方,希望加以改进和完善。教材第五版就是在第四版的基础上修订而成的。数字集成电路是数字计算机和各类数字系统功能实现的物质基础。本教材以高速发展的数字集成电路为纽带,将数字电子技术和数字逻辑的有关知识融为一体,较完整地阐述了各种不同规模的数字集成电路及其在数字系统逻辑设计中的应用;力图使学生在掌握逻辑设计基本理论和方法的基础上,了解数字器件的更新换代对数字系统设计方法产生的重要影响,以及数字器件与数字系统设计方法的发展趋势,不断掌握新的技术,以适应数字技术快速发展的需要。全书共分九章和三个附录,内容可归纳为五大部分。部分主要介绍数字系统逻辑设计的基本知识、基本理论和基本逻辑器件,由第1~3章组成;第二部分以小规模集成电路为基础,详细讨论组合逻辑电路和时序逻辑电路分析与设计的经典方法,由第4~6章组成;第三部分重点介绍常用中规模通用集成电路、大规模可编程逻辑器件及其在逻辑设计中的应用,包括常用中规模组合逻辑电路、中规模时序逻辑电路、信号产生与变换电路、可编程逻辑器件(PROM、PLA、PAL、GAL)、复杂可编程逻辑器件(CPLD)、现场可编程门阵列(FPGA),以及20世纪90年代问世的ISP技术等内容,由7、8两章组成;第四部分综合运用该课程所学知识,进行了实际问题设计举例,意在进一步将理论知识与实际应用紧密结合,达到学以致用的目的;第五部分为附录,由硬件描述语言VHDL基础和英汉名词对照表等内容组成。本教材的本科教学参考学时数为80学时(含16学时左右实验),不同专业和不同层次可按课程学时数的多少和实际需求,由任课教师根据具体情况对教材内容,尤其是标题前注有星号(*)的部分进行适当取舍。需要说明的是,有关采用硬件描述语言以及PLD开发系统设计数字系统的方法均已有专门的教科书,考虑到课程范围、教学时数和教材篇幅的关系,本教材中未作详细介绍,必要时读者可阅读相关书籍,或者在相应选修课程中学习。扫一扫有关二维码,即可获得多媒体教学课件、学习自评测试题。为了满足教学的需要,开发了与教材配套的MOOC教学资源。使用者可根据需要对各项教学资源灵活选用。本书由欧阳星明主编,赵贻竹、于俊清副主编。在本书的编写过程中,得到了华中科技大学计算机学院领导和许多同事的关心,“数字逻辑”课程组的老师为教材建设做了大量工作,兄弟院校的许多老师对该书内容的组织提出了宝贵的意见,在此表示衷心感谢。同时,华中科技大学出版社为本书的出版给予了大力支持,借此机会向本书的责任编辑、美术编辑以及关心和参加过本书出版、发行的全体同志表示深深的谢意。此外,由于编者水平有限,书中缺点、错误难免,殷切希望广大读者批评指正。

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 大陸用户 | 海外用户
megBook.com.hk
Copyright © 2013 - 2024 (香港)大書城有限公司  All Rights Reserved.