登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 運費計算  | 聯絡我們  | 幫助中心 |  加入書簽
會員登入 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類瀏覽雜誌 臺灣用戶
品種:超過100萬種各類書籍/音像和精品,正品正價,放心網購,悭钱省心 服務:香港台灣澳門海外 送貨:速遞郵局服務站

新書上架簡體書 繁體書
暢銷書架簡體書 繁體書
好書推介簡體書 繁體書

四月出版:大陸書 台灣書
三月出版:大陸書 台灣書
二月出版:大陸書 台灣書
一月出版:大陸書 台灣書
12月出版:大陸書 台灣書
11月出版:大陸書 台灣書
十月出版:大陸書 台灣書
九月出版:大陸書 台灣書
八月出版:大陸書 台灣書
七月出版:大陸書 台灣書
六月出版:大陸書 台灣書
五月出版:大陸書 台灣書
四月出版:大陸書 台灣書
三月出版:大陸書 台灣書
二月出版:大陸書 台灣書

『簡體書』CMOS集成电路EDA技术(第2版)

書城自編碼: 3748867
分類:簡體書→大陸圖書→工業技術電子/通信
作者: 戴澜 张晓波 陈铖颖等
國際書號(ISBN): 9787111703501
出版社: 机械工业出版社
出版日期: 2022-05-01

頁數/字數: /
書度/開本: 16开 釘裝: 平装

售價:HK$ 136.3

我要買

 

** 我創建的書架 **
未登入.


新書推薦:
写意兰竹树石课徒稿
《 写意兰竹树石课徒稿 》

售價:HK$ 110.4
不较真的心理智慧
《 不较真的心理智慧 》

售價:HK$ 59.8
漫画算法与数据结构(大规模数据集)
《 漫画算法与数据结构(大规模数据集) 》

售價:HK$ 95.8
欧洲的扩张1415—1789:现代世界的奠基
《 欧洲的扩张1415—1789:现代世界的奠基 》

售價:HK$ 177.6
严禁造谣
《 严禁造谣 》

售價:HK$ 59.8
引导的秘诀:通过团队合作获得结果的SMART指南(最新修订版)(白金版)
《 引导的秘诀:通过团队合作获得结果的SMART指南(最新修订版)(白金版) 》

售價:HK$ 118.8
文史星历:秦汉史丛稿
《 文史星历:秦汉史丛稿 》

售價:HK$ 141.6
神灵衰落:祈雨与乾隆朝的信仰危机
《 神灵衰落:祈雨与乾隆朝的信仰危机 》

售價:HK$ 106.8

 

建議一齊購買:

+

HK$ 98.0
《 OLED显示技术导论 》
+

HK$ 52.7
《 手绘揭秘电子电路基本原理和符号 》
+

HK$ 148.8
《 卫星信号电离层探测及应用技术 》
+

HK$ 163.4
《 集成电路制造工艺与工程应用 》
+

HK$ 118.8
《 数字滤波器的MATLAB与FPGA实现——Altera/Verilog版(第2版) 》
+

HK$ 268.7
《 高频超视距雷达:基本原理、信号处理与实际应用 》
編輯推薦:
本书具有很好的工程应用性。作为“十四五”时期国家重点出版物出版专项规划项目,同时是重点套系半导体与集成电路关键技术丛书的重点单品。本书面向微电子学与固体电子学专业相关的课程教学要求和集成电路设计相关的工程应用需求,以提高实际工程设计能力为目的,采取循序渐进的方式,介绍了进行CMOS集成电路设计时所需的EDA工具。
內容簡介:
集成电路发展到今天,单芯片内能够集成高达百亿个晶体管,在集成电路的设计中需要依靠电子设计自动化(EDA)工具进行电路仿真、综合、版图设计、寄生参数提取和后仿真。EDA工具的使用可以使设计者在虚拟的计算机环境中进行早期的设计验证,有效缩短了电路实体迭代验证的时间,提高了芯片设计的成功率。一款成功的芯片源于无数工程师成功的设计,而成功的设计在很大程度上又取决于有效、成熟的集成电路EDA设计工具。本书面向微电子学与固体电子学专业相关的课程教学要求和集成电路设计相关的工程应用需求,以提高实际工程设计能力为目的,采取循序渐进的方式,介绍了进行CMOS集成电路设计时所需的EDA工具。主要分为EDA设计工具概述、模拟集成电路EDA技术、数字集成电路EDA技术与集成电路反向分析技术等部分。在模拟集成电路方面,依次介绍了电路设计及仿真工具Cadence Spectre、版图设计工具Cadence Virtuoso、版图验证及参数提取工具Mentor Calibre在内的各种工具的基本知识和使用方法。在数字集成电路方面,在简单介绍硬件描述语言Verilog HDL的基础上,介绍RTL仿真工具Modelsim、逻辑综合工具Design Compiler、数字后端版图工具IC Compiler和Encounter四大类设计工具。最终对集成电路使用反向EDA技术进行全面的阐述。书中配以电路设计实例,进一步分析各种EDA工具的设计输入方法和技巧,形成一套完整的CMOS集成电路设计流程。本书使读者通过实例深刻了解使用CMOS集成电路EDA工具进行设计的基本流程和方法,可作为高等院校微电子学与固体电子学专业本科生与研究生集成电路EDA课程的实验教材和辅导书,或者相关专业技术人员的自学参考书。
目錄
第2版前言第1版前言第1章CMOS集成电路EDA技术 11.1CMOS集成电路EDA技术概述11.2CMOS模拟集成电路设计流程31.3CMOS模拟集成电路EDA工具分类51.4CMOS数字集成电路设计流程91.5CMOS数字集成电路EDA工具分类111.6小结13第2章模拟电路设计及仿真工具Cadence Spectre142.1Spectre的特点142.2Spectre的仿真设计方法162.3Spectre与其他EDA软件的连接172.4Spectre的基本操作182.4.1Cadence Spectre启动设置182.4.2Spectre主窗口和选项介绍192.4.3设计库管理器介绍222.4.4电路图编辑器介绍252.4.5模拟设计环境介绍292.4.6波形显示窗口介绍322.4.7波形计算器介绍372.5Spectre库中的基本器件422.5.1无源器件422.5.2有源器件422.5.3信号源432.6低压差线性稳压器的设计与仿真452.7高阶仿真功能与实例532.7.1FFT仿真532.7.2Monte Carlo仿真592.8小结65第3章版图设计工具Cadence Virtuoso663.1Virtuoso界面介绍663.1.1窗口标题栏683.1.2状态栏693.1.3菜单栏693.1.4图标菜单773.1.5设计区域793.1.6光标和指针793.1.7鼠标状态803.1.8提示栏813.1.9层选择窗口813.2Virtuoso基本操作833.2.1创建矩形833.2.2创建多边形843.2.3创建路径853.2.4创建标识名863.2.5创建器件和阵列863.2.6创建接触孔883.2.7创建圆形图形883.2.8移动命令903.2.9复制命令913.2.10拉伸命令913.2.11删除命令923.2.12合并命令923.2.13选择和放弃选择命令933.2.14改变层次关系命令943.2.15切割命令963.2.16旋转命令973.2.17属性命令983.2.18分离命令993.3运算放大器版图设计实例1003.3.1NMOS晶体管版图设计1003.3.2运算放大器版图设计1063.4小结114第4章模拟版图验证及参数提取工具Mentor Calibre1154.1Mentor Calibre版图验证工具调用1154.1.1Virtuoso Layout Editor工具启动1154.1.2采用Calibre图形界面启动1184.1.3采用Calibre View查看器启动1184.2Mentor Calibre DRC验证1204.2.1Calibre DRC验证简介1204.2.2Calibre DRC界面介绍1224.2.3Calibre DRC验证流程举例1284.3Mentor Calibre LVS验证1374.3.1Calibre LVS验证简介1374.3.2Calibre LVS界面介绍1384.3.3Calibre LVS验证流程举例1494.4Mentor Calibre寄生参数提取1584.4.1Calibre PEX验证简介1584.4.2Calibre PEX界面介绍1594.4.3Calibre PEX流程举例1694.5小结175第5章硬件描述语言及仿真工具Modelsim1765.1硬件描述语言及仿真概述1765.2硬件描述语言与应用实例1775.2.1硬件描述语言基础1775.2.2硬件描述语言应用实例1855.2.3硬件描述语言的可综合设计1905.2.4硬件描述语言设计实例1915.3数字电路仿真工具Modelsim1955.3.1Modelsim的特点与应用1965.3.2Modelsim的基本使用1995.3.3Modelsim的进阶使用2085.4小结223第6章数字逻辑综合及Design Compiler2246.1逻辑综合概述2246.1.1逻辑综合的定义及发展历程2246.1.2逻辑综合的流程2256.2DesignCompiler简介2266.2.1DesignCompiler的功能2266.2.2DesignCompiler的使用模式2276.2.3DC-Tcl简介2286.3DesignCompiler综合设计2326.3.1启动工具及初始环境配置2326.3.2综合库2346.3.3DesignCompiler综合流程2356.4静态时序分析与设计约束2436.4.1静态时序分析2436.4.2亚稳态2456.4.3时钟的约束2456.4.4输入输出路径的约束2476.4.5组合逻辑路径的约束2486.4.6时间预算2496.4.7设计环境约束2506.4.8多时钟同步设计约束2536.4.9异步设计约束2556.4.10多时钟的时序约束2566.5基于状态机的交通灯综合2586.6小结262第7章数字电路物理层设计工具IC Compiler2637.1IC Compiler简介2637.2IC Compiler物理层设计的数据准备2657.2.1逻辑层数据2657.2.2物理层数据2667.2.3设计数据2667.3创建设计数据库与后端数据的设置2677.3.1逻辑库设置2677.3.2物理库设置2677.3.3其他文件设置2687.3.4创建设计数据库2687.3.5库文件检查2687.3.6网表导入2687.3.7Tlu+文件设置与检查2697.3.8电源网络设置2697.3.9TIE单元设置2707.3.10导入SDC文件并进行时序约束检查2707.3.11定时序优化参数2717.4不同PVT角下综合优化的设置方法2737.4.1scenario的建立2747.4.2PVT角设定2747.5宏单元与IO布局2767.5.1IO布局与芯片布局空间创建2767.5.2宏单元的摆放2777.6电源网络的设计与分析2787.6.1设计电源和地环2787.6.2设计电源和地条2787.6.3连接宏单元和标准单元2797.7标准单元的布局与优化2807.7.1检查是否需要添加tap cell2817.7.2spare cell的标识2817.7.3检查设计输入文件与约束2817.7.4确认所有路径已经被正确地设置2817.8时钟树综合与优化2837.8.1综合前的检查2837.8.2时钟树综合设置2837.8.3执行时钟树综合核心命令2867.9芯片布线与优化2877.9.1布线前的检查2877.9.2ICC布线相关设置2877.9.3天线效应简介与设置2897.9.4执行布线命令2907.1
內容試閱
第2版前言集成电路自从产生以来,集成度一直按照“摩尔定律”不断提高。集成电路(Integrated Circuit,IC)作为当今信息时代的核心技术产品,一直是电子信息技术的核心与“真正”的硬件,其发展水平在一定程度上反映了一个国家综合的国力。随着CMOS集成电路的集成规模逐步增大,对电子设计自动化(Electronic Design Automation,EDA)工具的依赖变得更加严重。在电路仿真、综合、版图设计和寄生参数提取等各个环节中,EDA工具都具有非常重要的地位;同时,在集成电路知识产权纷争中,通过反向分析来进行权利认定也变得日益重要,因此在第2版中增加了关于反向分析EDA技术的内容。本书依据CMOS模拟集成电路和数字集成电路设计、验证与芯片反向分析的基本流程,结合具体实例,系统地介绍了模拟集成电路设计及仿真工具Cadence Spectre、版图设计工具Cadence Virtuoso、物理验证工具Mentor Calibre,以及数字仿真设计工具Modelsim、逻辑综合工具Design Compiler、数字后端版图工具IC Compiler和Encounter共七大类EDA工具,以供集成电路相关专业的师生以及集成电路工程技术人员使用。本书分四个部分共9章内容介绍了目前广泛应用的CMOS集成电路设计与反向分析EDA工具。第1部分:CMOS集成电路EDA技术概述,包括第1章。主要介绍CMOS集成电路EDA技术的基本概况,包括发展历史、特点、现状以及未来趋势,使读者对该领域有一个概括性的了解。同时分步骤介绍了CMOS模拟集成电路和数字集成电路的基本设计流程,并依据该流程分类讨论了目前主流的EDA设计工具。第2部分:CMOS模拟集成电路设计EDA技术,包括第2~4章。第2章首先对Cadence Spectre仿真环境进行了总体说明,包括Spectre软件的基本介绍和特点,以及Spectre的仿真设计方法、与其他EDA软件的连接。之后介绍了Spectre启动的配置和几个主要窗口,包括主窗口、设计库管理窗口、电路图编辑器窗口、模拟设计环境窗口、波形显示窗口和波形计算器,以及analogLib库中的基本器件和激励源,作为读者学习Spectre的知识储备。后以一个低压差线性稳压器实例来阐述Cadence Spectre的基本设计方法。第3章主要介绍模拟版图设计工具Cadence Virtuoso的主要界面和操作,并配合两级密勒补偿运算放大器的设计实例进行讨论。第4章在电路和版图设计的基础上,详细讨论了模拟版图验证和提取工具Mentor Calibre的主要界面和操作,后在两级密勒补偿运算放大器的版图基础上进行了基本的操作说明。第3部分:CMOS数字集成电路设计EDA技术,包括第5~8章。第5章首先对数字集成电路设计进行概述,包括一些基本语法和规范,并举例说明组合逻辑电路和时序逻辑电路。之后以交通灯为设计实例对仿真工具Modelsim进行了总体说明,从Modelsim的应用特点到基本使用方法,再延伸到一些高级用法,不仅囊括了建立工程、建立仿真环境、启动仿真、观测仿真结果等基本内容,还包含了使用过程中的一些小技巧。第6章主要对数字逻辑综合及综合工具Design Compiler进行了详细说明,包括逻辑的定义、发展简介和逻辑综合的流程。之后介绍了综合工具Design Compiler的功能、使用模式及DC-Tcl脚本语言。同时讨论了使用综合工具Design Compiler进行综合、静态时序分析及时序约束的基本方法。再以第5章中的交通灯设计为例,阐述了使用Design Compiler进行综合的基本流程。第7章围绕IC Compiler对数字后端设计的各个流程进行介绍,从数据的准备阶段开始,到数据输出为止,着重介绍了后端数据准备与设置、布局、时钟树综合及布线等步骤。第8章重点介绍了数字电路物理层设计工具Encounter,包括Encounter设计相关的基本概念和方法,之后通过一个设计实例讨论了使用Encounter进行物理设计的基本流程。第4部分:集成电路反向分析EDA技术,包括第9章。内容涵盖基本元器件的识别,模拟和数字单元电路的网表提取,数据的导入与导出及电路的层次化分析整理等多个重要的环节。本书具有很好的工程应用性。本书由北方工业大学微电子系教授戴澜主持编写,北方工业大学张晓波高级实验师、厦门理工学院陈铖颖博士、中国科学院微电子研究所王雷博士、中国科学院自动化研究所蒋银坪助理研究员、郭阳博士,北京华大九天科技股份有限公司梁曼工程师一同参与完成。其中戴澜完成了第5章的编写,张晓波完成了第1、2、9章的编写,陈铖颖完成了第3、4、8章的编写,蒋银坪和郭阳完成了第6章的编写,王雷和梁曼完成了第7章的编写。由于本书涉及的知识面较广,时间和编著者水平有限,书中难免存在不足和局限,恳请读者批评指正。编者2022年2月第2版第1版前言进入21世纪以来,人类社会在信息领域正面临着一场巨大的变革,其先导因素和决定性力量正是微电子集成电路技术。集成电路(Integrated Circuit,IC)作为当今信息时代的核心技术产品,在国民经济建设、国防建设以及人民日常生活中发挥着越来越重要的作用。随着互补金属-氧化物半导体(Complementary Metal Oxide Semiconductor,CMOS)集成电路技术的日益进步,计算机辅助设计工具——电子设计自动化(Electronic Design Automation,EDA)工具也日趋成熟。各类电路、版图设计、物理验证EDA工具的推出,有效地提高了电路设计效率,缩短了产品设计周期。依据CMOS模拟集成电路和数字集成电路设计、验证的基本流程,编者结合实例详细介绍了模拟电路设计工具Cadence Spectre、版图设计工具Cadence Virtuoso、版图验证和参数提取工具Mentor Calibre、数字仿真设计工具Modelsim、逻辑综合工具Design Compiler、数字后端版图工具IC Compiler以及Encounter七大类EDA工具,以供学习CMOS集成电路设计与仿真的读者参考。本书分8章介绍了目前广泛应用的CMOS集成电路设计EDA工具。第1章主要介绍CMOS集成电路EDA技术的基本概况,包括发展历史、特点、现状以及未来发展趋势,使读者对该领域有一个概括性的了解。同时分步骤介绍了CMOS模拟集成电路和数字集成电路的基本设计流程,并依据该流程分类讨论了目前主流的EDA设计工具。第2~4章通过实例介绍CMOS模拟集成电路设计的三大类EDA设计工具:电路设计工具Cadence Spectre、版图设计工具Cadence Virtuoso和物理验证工具Mentor Calibre以及相应的仿真方法。第2章首先对Cadence Spectre仿真环境进行了总体说明,包括Spectre软件的基本介绍和特点,以及Spectre的仿真设计方法、与其他EDA软件的连接。之后介绍了Spectre启动的配置和几个主要窗口,包括主窗口、设计库管理窗口、电路图编辑器窗口、模拟设计环境窗口、波形显示窗口和波形计算器,以及analogLib库中的基本器件和激励源,作为读者学习Spectre的知识储备。后以一个低压差线性稳压器实例来阐述Cadence Spectre的基本设计方法。第3章主要介绍模拟版图设计工具Cadence Virtuoso的主要界面和操作,并配合两级密勒补偿运算放大器的设计实例进行讨论。第4章在电路和版图设计的基础上,详细讨论了模拟版图验证和提取工具Mentor Calibre的主要界面和操作,后在两级密勒补偿运算放大器的版图基础上进行了基本的操作说明。第5~8章通过实例介绍CMOS数字集成电路设计的四大类EDA设计工具:RTL仿真工具Modelsim、逻辑综合工具Design Compiler、数字后端版图工具IC Compiler和Encounter以及相应的仿真方法。第5章首先对数字集成电路设计进行概述,包括一些基本语法和规范,并举例说明组合逻辑电路和时序逻辑电路。之后以交通灯为设计实例对仿真工具Modelsim进行了总体说明,从Modelsim的应用特点到基本使用方法,再延伸到一些高级用法,不仅囊括了建立工程、建立仿真环境、启动仿真、观测仿真结果等基本内容,还包含了使用过程中的一些小技巧。第6章主要对数字逻辑综合及综合工具Design Compiler进行了详细说明,包括逻辑的定义、发展简介和逻辑综合的流程。之后介绍了综合工具Design Compiler的功能、使用模式及DC-Tcl脚本语言。同时讨论了使用综合工具Design Compiler进行综合、静态时序分析及时序约束的基本方法。再以第5章中的交通灯设计为例,阐述了使用Design Compiler进行综合的基本流程。第7章围绕IC Compiler对数字后端设计的各个流程进行介绍,从数据的准备阶段开始,到数据输出为止,着重介绍了后端数据准备与设置、布局、时钟树综合及布线等步骤。第8章重点介绍了数字电路物理层设计工具Encounter,包括Encounter设计相关的基本概念和方法,之后通过一个设计实例讨论了使用Encounter进行物理设计的基本流程。本书内容丰富,具有较强的实用性,由北方工业大学微电子系教授戴澜主持编写。此外,北方工业大学张晓波高级工程师,厦门理工学院的陈铖颖博士,中国科学院微电子所王雷博士,中国科学院自动化研究所蒋银坪助理研究员、郭阳博士,北京华大九天科技股份有限公司梁曼工程师也参加了本书的编写工作。其中,戴澜完成了第1、2、5、8章的编写,并参与其他章节的编写,第3章由张晓波编写,陈铖颖完成了第4章的编写,蒋银坪和郭阳完成了第6章的编写,第7章由王雷和梁曼编写完成。另外,北京电子信息高级技工学校的贺桂霞老师为本书做了大量的整理工作。正是有了大家的共同努力,才使本书得以顺利完成。由于本书涉及的知识面较广,时间和编著者水平有限,书中难免存在不足和局限,恳请读者批评指正。编者2016年9月第1版

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 大陸用户 | 海外用户
megBook.com.hk
Copyright © 2013 - 2024 (香港)大書城有限公司  All Rights Reserved.