登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 運費計算  | 聯絡我們  | 幫助中心 |  加入書簽
會員登入 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類瀏覽雜誌 臺灣用戶
品種:超過100萬種各類書籍/音像和精品,正品正價,放心網購,悭钱省心 服務:香港台灣澳門海外 送貨:速遞郵局服務站

新書上架簡體書 繁體書
暢銷書架簡體書 繁體書
好書推介簡體書 繁體書

四月出版:大陸書 台灣書
三月出版:大陸書 台灣書
二月出版:大陸書 台灣書
一月出版:大陸書 台灣書
12月出版:大陸書 台灣書
11月出版:大陸書 台灣書
十月出版:大陸書 台灣書
九月出版:大陸書 台灣書
八月出版:大陸書 台灣書
七月出版:大陸書 台灣書
六月出版:大陸書 台灣書
五月出版:大陸書 台灣書
四月出版:大陸書 台灣書
三月出版:大陸書 台灣書
二月出版:大陸書 台灣書

『簡體書』CMOS模拟与混合信号集成电路设计:创新与实战

書城自編碼: 3705252
分類:簡體書→大陸圖書→工業技術電子/通信
作者: [马]阿珠纳·马尔祖基[Arjuna Marzuki]
國際書號(ISBN): 9787111695943
出版社: 机械工业出版社
出版日期: 2021-12-01

頁數/字數: /
書度/開本: 16开 釘裝: 平装

售價:HK$ 148.8

我要買

 

** 我創建的書架 **
未登入.


新書推薦:
状态比能力更重要:108个状态调整法
《 状态比能力更重要:108个状态调整法 》

售價:HK$ 66.0
中国上市公司担保行为的影响因素及其效应研究
《 中国上市公司担保行为的影响因素及其效应研究 》

售價:HK$ 43.2
企业级数据架构:核心要素、架构模型、数据管理与平台搭建    李杨
《 企业级数据架构:核心要素、架构模型、数据管理与平台搭建 李杨 》

售價:HK$ 118.8
政和元年:宋辽金夏的国运博弈与谍战风云
《 政和元年:宋辽金夏的国运博弈与谍战风云 》

售價:HK$ 81.6
黄金圈法则
《 黄金圈法则 》

售價:HK$ 93.6
全球治理理论:权威、合法性与论争
《 全球治理理论:权威、合法性与论争 》

售價:HK$ 117.6
华尔街幽灵:一位股票投资大师的告白
《 华尔街幽灵:一位股票投资大师的告白 》

售價:HK$ 95.8
人生幸好有快乐(一代大师梁实秋至诚分享八十余年的快乐哲学!)
《 人生幸好有快乐(一代大师梁实秋至诚分享八十余年的快乐哲学!) 》

售價:HK$ 64.8

 

編輯推薦:
1.本书面向电子信息、通信、传感器探测、雷达、电子对抗、人工智能等领域应用需求,涵盖了CMOS器件模型,CMOS模拟与混合信号电路与系统概念、设计原则、设计方法、电路结构及相关的SPICE模拟案例。本书以基础到应用的方式展开,从CMOS器件机理及模型讲起,到具体模拟/混合信号电路模块结构拓扑,再到数据转换器集成电路系统设计及CMOS图像传感器电路设计的应用,后到外围电路设计、版图设计及芯片封装。本书将CMOS电路设计理论与应用实践融为一体,为实现高效的模拟与混合信号集成电路系统提供了基础理论与创新实践。2.本书作者在国际公司微电子器件产品部门和大学研究两方面都具有丰富的工作经历,书中内容分享了他长期从事芯片电路产品研发的理论、方法设计和实践经验,为读者在相关领域芯片设计提供了详实的设计思路和实战指南。
內容簡介:
本书旨在为应用于片上系统(SOC)或专用标准化产品(ASSP)研发的互补金属氧化物半导体(CMOS)模拟及混合信号电路设计提供完整的应用知识。面向对线性电路、离散概念、微电子器件与超大规模集成电路(VLSI)系统有一定了解的读者。本书的首章介绍了CMOS模拟与混合信号电路设计,对模拟与混合信号电路设计学科进行概述,并引入了模拟及数字集成电路设计相关概念。本章还涉及到对技术、电路拓扑结构与方法论等三维因素的描述和折衷方案的讨论。
關於作者:
阿珠纳?马尔祖基(Arjuna Marzuki),马来西亚理科大学电子电气工程学院副教授和博士生导师,教授模拟集成电路课程,指导博士生在微电子领域开展相关研究,发表了60多篇论文。曾在Hewlett-Packard、Agilent Technologies和Avago Technologies等公司从事RFIC、光器件、ADC等电路和芯片设计工作,具有丰富的IC产品开发、管理和教学实践经验。他是马来西亚工程师理事会和英国工程委员会的注册工程师,还是英国工程技术学会(IET)会士。
目錄
译者序前言致谢作者简介第1章 CMOS模拟与混合信号电路设计概述1.1 引言1.2 字符、符号和术语1.3 工艺、电路拓扑和方法论1.4 模拟与混合信号集成设计概念1.5 小结第2章 器件概述2.1 引言2.2 PN结2.2.1 费米能级2.2.2 耗尽层电容2.2.3 存储电容2.3 光电器件2.4 场效应管2.4.1 长沟道逼近2.4.2 MOSFET按比例缩小2.4.3 弱反型2.4.4 短沟道效应2.4.5 MOSFET电容2.4.6 MOSFET特征频率2.4.7 噪声2.5 工艺拟合比2.6 MOSFET参数练习2.7 SPICE示例2.8 小结参考文献第3章 放大器3.1 引言3.2 输入电压范围3.2.1 原理3.2.2 示例3.3 CMOS运算放大器的信号通路3.3.1 整体信号路径3.3.2 负载3.3.3 共源共栅电流源3.3.4 示例3.4 CMOS放大器参数3.4.1 输入失调3.4.2 共模电压输入范围3.4.3 电流损耗3.4.4 共模抑制比3.4.5 电源抑制比3.4.6 摆率和建立时间3.4.7 直流增益、fc和fT3.4.8 噪声3.4.9 失真3.5 共模反馈3.6 放大器的补偿结构3.6.1 环路响应3.6.2 脉冲响应3.7 宽带放大器技术3.7.1 源和负载3.7.2 级联和反馈3.8 放大器中的噪声3.8.1 电路中的噪声3.8.2 单级放大器中的噪声3.8.3 差分对的噪声3.8.4 带电阻反馈的放大器的噪声3.8.5 噪声带宽3.9 电流密度设计方法3.10 版图示例3.11 小结参考文献第4章 低功耗放大器4.1 引言4.2 低压CMOS放大器4.2.1 衬底控制4.2.2 电路技术4.3 亚阈值效应4.4 电流复用CMOS放大器4.5 其他技术4.6 SPICE示例4.7 小结参考文献第5章 稳压源、电压基准和电压偏置5.1 引言5.2 电流源5.3 自偏置5.4 CTAT和PTAT5.5 带隙基准电压源5.6 没有二极管的基准电压5.7 共源共栅电流源5.8 稳压电源5.9 设计示例5.10 SPICE示例5.11 版图示例5.12 小结练习参考文献第6章 高级模拟电路概论6.1 引言6.2 MOSFET用作开关6.3 基本开关电容6.4 有源积分器6.4.1 对寄生电容不敏感的同相开关电容6.4.2 无延迟反向积分器6.4.3 对寄生电容不敏感的延迟反向开关电容6.4.4 离散时间的开关电容6.4.5 带延迟的同相有源积分器6.5 采样保持放大器6.6 可编程增益放大器6.6.1 时序6.6.2 共模反馈6.7 斩波放大器6.8 动态元件匹配技术6.9 无电阻电流基准6.10 开关模式转换器6.11 SPICE示例6.12 版图说明6.13 小结参考文献第7章 数据转换器7.1 引言7.2 数模转换器7.2.1 电阻串拓扑结构7.2.2 电流舵结构7.2.3 混合结构7.2.4 DAC微调或校准7.2.5 毛刺7.3 模数转换器7.3.1 斜坡型模数转换器7.3.2 逐次逼近寄存器模数转换器7.3.3 闪烁型模数转换器7.3.4 流水线型模数转换器7.3.5 过采样型模数转换器7.4 SPICE示例7.4.1 DAC示例7.4.2 ADC示例7.5 版图示例7.6 小结参考文献第8章 CMOS颜色和图像传感器电路设计8.1 引言8.2 技术和方法论8.2.1 CMOS图像传感器技术和工艺综述8.2.2 背面照度8.2.3 光电器件8.2.4 设计方法论8.3 CMOS颜色传感器8.3.1 跨阻放大器拓扑8.3.2 电流频率拓扑8.3.3 电流积分拓扑8.4 CMOS图像传感器8.4.1 CMOS图像传感器结构8.4.2 模拟像素传感器8.4.3 数字像素传感器8.4.4 低功耗和低噪声技术8.5 SPICE示例8.6 版图示例8.7 小结参考文献第9章 外围电路9.1 引言9.2 振荡器9.2.1 环形振荡器9.2.2 RC振荡器9.2.3 斜坡振荡器9.3 非交叠时钟发生器9.4 接口电路9.4.1 基本接口电路9.4.2 I2C总线9.5 输入/输出压焊点9.6 施密特触发电路9.7 电压水平调节器9.8 上电复位9.9 静电防护电路9.10 SPICE示例9.11 版图示例9.12 小结参考文献第10章 版图和封装10.1 引言10.2 工艺10.2.1 天线规则10.2.2 电迁移和金属密度10.2.3 剪切应力10.3 平面布局10.4 ESD和I/O压焊版图10.4.1 低寄生电容压焊点10.4.2 密封环10.5 模拟电路版图技术10.5.1 匹配10.5.2 保护环10.5.3 屏蔽10.5.4 电压降10.5.5 金属注入10.5.6 衬底触塞
內容試閱
本书旨在为应用于片上系统(SOC)或专用标准产品(ASSP)研发的互补金属氧化物半导体(CMOS)模拟与混合信号电路设计提供完整的应用知识,适合对线性电路、离散概念、微电子器件与超大规模集成电路(VLSI)系统有一定了解的读者阅读。本书的第1章介绍CMOS模拟与混合信号电路设计,对模拟与混合信号电路设计进行概述,并引入了模拟及数字集成电路设计的相关概念。该章还涉及对工艺、电路拓扑结构与方法论这三个因素的描述和折中方案的讨论。时至今日,CMOS技术仍在集成电路制造领域占据主导地位。本书在第2章详细介绍了基本器件,如长、短沟道金属氧化物半导体场效应晶体管(MOSFET)。了解MOSFET器件对于设计CMOS电路至关重要。第2章还讨论了光电器件及其他相关器件,同时引入了拟合比等内容来讨论设计中的“转移”方法。第3~9章重点关注CMOS模拟与混合信号电路设计,涉及放大器、低功耗放大器、电压基准源、数据转换器、动态模拟电路、颜色与图像传感器及外围电路(振荡器与输入/输出端口)。其中,第6章和第7章主要介绍混合信号电路设计,第8章引入CMOS模拟与混合信号电路设计实例,比如颜色与图像传感器。此外,第10章涉及集成电路(IC)版图与封装,这对CMOS电路设计,尤其是模拟与混合信号集成电路产品的研发非常关键。本书可以作为面向高年级本科生和研究生的CMOS模拟电路导论课程的教材。本书涉及大量的实例与练习,其中部分电路可直接使用电子设计自动化(EDA)工具(比如仿真电路模拟器(SPICE))进行仿真。第2章和第3章来自马来西亚理科大学为高年级本科生开设的模拟集成电路设计课程,提供了完备的CMOS模拟电路设计知识。本书还介绍了工程师在设计模拟与混合信号电路时所采用的实际方法。第4~9章设置了一些面向工程师的主题供讨论,其余主题则适合学生或研究人员探讨。虽然技术在不断革新,但本书讨论的原则与概念永远不会过时。一些创新性主题,例如低功耗应用中的电流复用与亚阈值操作技术,以及无二极管的电压参考源设计和动态元素匹配技术,都可以使研究者受益。第10章包括的一些设计与版图实例可以直接应用于集成电路商品化。

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 大陸用户 | 海外用户
megBook.com.hk
Copyright © 2013 - 2024 (香港)大書城有限公司  All Rights Reserved.