登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 運費計算  | 聯絡我們  | 幫助中心 |  加入書簽
會員登入 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類瀏覽雜誌 臺灣用戶
品種:超過100萬種各類書籍/音像和精品,正品正價,放心網購,悭钱省心 服務:香港台灣澳門海外 送貨:速遞郵局服務站

新書上架簡體書 繁體書
暢銷書架簡體書 繁體書
好書推介簡體書 繁體書

三月出版:大陸書 台灣書
二月出版:大陸書 台灣書
一月出版:大陸書 台灣書
12月出版:大陸書 台灣書
11月出版:大陸書 台灣書
十月出版:大陸書 台灣書
九月出版:大陸書 台灣書
八月出版:大陸書 台灣書
七月出版:大陸書 台灣書
六月出版:大陸書 台灣書
五月出版:大陸書 台灣書
四月出版:大陸書 台灣書
三月出版:大陸書 台灣書
二月出版:大陸書 台灣書
一月出版:大陸書 台灣書

『簡體書』集成电路系统设计

書城自編碼: 3674767
分類:簡體書→大陸圖書→教材研究生/本科/专科教材
作者: 李洪革
國際書號(ISBN): 9787512435797
出版社: 北京航空航天大学出版社
出版日期: 2021-09-01

頁數/字數: /
書度/開本: 16开 釘裝: 平装

售價:HK$ 73.1

我要買

 

** 我創建的書架 **
未登入.


新書推薦:
海盗之书
《 海盗之书 》

售價:HK$ 81.6
亲美与反美:战后日本的政治无意识
《 亲美与反美:战后日本的政治无意识 》

售價:HK$ 69.6
亲爱的安吉维拉:或一份包含15条建议的女性主义宣言
《 亲爱的安吉维拉:或一份包含15条建议的女性主义宣言 》

售價:HK$ 58.8
理想国译丛064:狼性时代:第三帝国余波中的德国与德国人,1945—1955
《 理想国译丛064:狼性时代:第三帝国余波中的德国与德国人,1945—1955 》

售價:HK$ 122.4
海外中国研究·明清中国的经济结构
《 海外中国研究·明清中国的经济结构 》

售價:HK$ 153.6
理想国译丛018:活着回来的男人:一个普通日本兵的二战及战后生命史(2024版)
《 理想国译丛018:活着回来的男人:一个普通日本兵的二战及战后生命史(2024版) 》

售價:HK$ 110.4
考古四记:田野中的历史人生
《 考古四记:田野中的历史人生 》

售價:HK$ 105.6
大洗牌
《 大洗牌 》

售價:HK$ 93.6

 

建議一齊購買:

+

HK$ 63.4
《 市场设计:拍卖与匹配(经济科学译丛) 》
+

HK$ 53.1
《 大学生心理健康教育 》
+

HK$ 47.6
《 大学计算机基础实践教程(Windows 10+Office 2019) 》
+

HK$ 100.1
《 战略管理:概念与案例(第12版)(工商管理经典译丛) 》
+

HK$ 64.7
《 大学生心理健康教育(第三版) 》
+

HK$ 180.2
《 普通化学原理(第4版) 》
編輯推薦:
本书的增值服务材料包包括本书所有例程的程序代码、“FPGA设计实现方法”和“ASIC设计实现方法”的相关内容,请关注微信公众号“北航科技图书”→回复“3579”,获得百度网盘的免费下载链接。
內容簡介:
本书属于数字集成电路与系统设计的基础教材。全书从硬件描述语言Verilog HDL入手,重点阐述高性能数字集成电路的电路结构、性能优化、计算电路、控制逻辑、功耗分析以及人工智能芯片等系统结构设计等内容。全书共分10章,主要包含集成电路系统设计的介绍、Verilog语言基础、电路逻辑优化、运算单元结构、数字信号计算、状态机与数据路径、时序与同异步、低功耗设计、可重构设计以及数字集成电路系统设计实例。本书通过大量设计实例讨论高性能数字系统设计的思想和方法,针对当前本科生、研究生和设计人员的问题和需求,较全面地分析和讨论CMOS集成电路与集成系统相关的具体设计案例。
本书可作为普通高等学校和科研院所的电子信息、集成电路、通信工程、电气工程、计算机等相关专业的本科生和研究生教材,还可作为数字集成电路与系统领域工程技术人员的参考书。
书中相关资源的下载请见前言。
關於作者:
李洪革,北京航空航天大学教授,长期从事数字集成系统领域的教学和科研工作,在集成电路设计领域积累了丰厚的知识和经验。
目錄
第1章 集成电路系统概述 1
1.1 集成电路的发展史 1
1.2 集成电路的设计方法 3
1.3 集成电路的实现方式 7
1.4 系统设计发展趋势 11
1.5 集成电路的应用前景 14
习 题 15
第2章 Verilog硬件描述语言 16
2.1 基本概念 16
2.2 Verilog HDL的基本结构 17
2.3 模块与声明 18
2.3.1 标识符 18
2.3.2 关键字 18
2.3.3 模块命名 19
2.3.4 信号命名 20
2.3.5 端口声明 21
2.3.6 变量声明 21
2.3.7 系统函数 21
2.3.8 代码编写规范 21
2.4 数据类型与运算符 22
2.4.1 数字声明 22
2.4.2 数值逻辑 23
2.4.3 常量数据类型 23
2.4.4 数据类型 24
2.4.5 运算符和表达式 26
2.5 行为建模 27
2.5.1 行为描述模块 27
2.5.2 条件语句 32
2.5.3 循环语句 33
2.5.4 任务与函数 35
2.5.5 混合设计模式 36
2.5.6 测试激励 37
2.6 Verilog—2001设计规则 41
2.7 Verilog基本模块 44
2.7.1 组合逻辑 44
2.7.2 时序逻辑 48
习 题 51
第3章 电路逻辑优化 52
3.1 电路面积优化 52
3.1.1 布尔逻辑优化 53
3.1.2 条件语句处理 55
3.1.3 资源共享 59
3.1.4 时序逻辑单元 64
3.2 高速电路设计 70
3.2.1 电路结构 70
3.2.2 关键路径 71
3.2.3 迟到信号处理 76
3.2.4 流水线 78
习 题 83
第4章 运算单元结构 86
4.1 数的表示 86
4.2 加法器 88
4.2.1 串行进位加法器 88
4.2.2 超前进位加法器 90
4.2.3 进位选择加法器 93
4.2.4 进位保留加法器 94
4.2.5 进位旁路加法器 96
4.3 乘法器 99
4.3.1 阵列乘法器 99
4.3.2 高速乘法器 103
4.4 有限域GF(2n)运算 113
4.4.1 定 义 113
4.4.2 有限域多项式 114
习 题 116
第5章 数字信号计算 118
5.1 基本概念 118
5.1.1 图形表示 118
5.1.2 关键路径 121
5.1.3 环路、迭代和采样边界 122
5.1.4 图、树和割集 123
5.2 流水线与并行处理 124
5.2.1 流水线 124
5.2.2 并行处理 126
5.3 重定时 128
5.3.1 重定时基础 129
5.3.2 割集重定时 131
5.4 乘累加计算 135
5.4.1 卷积计算 136
5.4.2 分布式计算 137
5.4.3 位串行乘法器 140
5.5 脉动阵列 143
5.5.1 基本概念 143
5.5.2 脉动阵列设计 144
5.5.3 二维脉动阵列 149
习 题 151
第6章 状态机与数据路径 153
6.1 有限状态机 153
6.1.1 基本概念 153
6.1.2 状态机分类 155
6.1.3 状态机描述方法 160
6.1.4 状态机的编码风格 167
6.1.5 状态机的优化 172
6.1.6 状态机容错和设计准则 174
6.2 数据路径 176
6.2.1 FSMD基础 176
6.2.2 寄存器传输级 178
6.2.3 算法状态机图(ASM) 179
6.2.4 FSMD设计方法 182
6.2.5 调 度 188
习 题 195
第7章 时序与同异步 197
7.1 时 序 197
7.1.1 基本概念 197
7.1.2 稳态与亚稳态 198
7.1.3 时钟信号 200
7.1.4 时钟分布 203
7.1.5 电路延迟 205
7.2 多时钟域 206
7.2.1 同步、异步简述 206
7.2.2 多时钟数据同步 207
7.2.3 同步/异步复位问题 211
7.3 异步电路 215
7.3.1 异步电路基础 215
7.3.2 异步逻辑C单元 216
7.3.3 握手协议 218
7.3.4 异步FIFO 223
习 题 229
第8章 低功耗设计 230
8.1 基本概念 231
8.1.1 动态开关功耗 232
8.1.2 短路功耗 233
8.1.3 静态功耗 234
8.2 低功耗设计方法 235
8.2.1 系统级低功耗法 236
8.2.2 算法级低功耗法 236
8.2.3 结构级低功耗法 237
8.2.4 电路级低功耗法 240
8.3 泄漏功耗 247
习 题 250
第9章 FPGA与可重构计算 251
9.1 可重构器件 251
9.1.1 可重构器件的现状 251
9.1.2 可重构器件的分类 253
9.2 可重构电路结构 253
9.2.1 FPGA电路结构 254
9.2.2 动态可重构系统 265
9.2.3 可重构AES系统 269
第10章 数字集成电路系统设计实例 274
10.1 人工智能芯片 274
10.1.1 卷积神经网络基础 274
10.1.2 网络参数量化 278
10.1.3 加速器模块设计 281
10.1.4 FPGA实现及系统设计 291
10.2 AES加解密系统 299
10.2.1 AES算法概述 299
10.2.2 AES算法结构 300
10.2.3 芯片内部电路系统架构 301
10.2.4 芯片设计 304
参考文献 310
內容試閱
根据中国半导体行业协会统计,集成电路设计业销售收入2011年为473.74亿元人民币,2019年增长到3 063.5亿元人民币,2020年增至3 778.4亿元人民币,同比增长了23.3%。集成电路的高速发展足以证明其已经成为高科技发展的基石。2000年,国务院出台《鼓励软件产业和集成电路产业发展的若干政策》(国发〔2000〕18号),极大地鼓励和推动了我国集成电路产业的发展。2020年,国务院发布《新时期促进集成电路产业和软件产业高质量发展的若干政策》(国发〔2020〕8号),再次确认了集成电路的战略发展地位。
近年来,随着国家对电子信息产业的大力扶持和推进,以及读者对集成电路设计图书需求的激增,国内有关数字集成电路设计的书籍也是越来越多,可以归纳为以下三类:① 国外教材翻译类,主要翻译国外经典的集成电路教材。② 语言介绍类,以讲述硬件描述语言为主,包括Verilog HDL和VHDL。③ 工具实现类,以介绍FPGA 的应用实现方法为主,是对FPGA 产品的介绍和推广。这些图书既包括国外引进的版本,也包括国内编写的版本。数字集成电路设计不仅依赖硬件描述语言,更重要的是,设计人员需要掌握逻辑电路、数字信号系统和体系架构的专业知识。设计过程中不仅要考虑数字系统的逻辑功能,还要考虑其物理性能。数字系统的逻辑功能融合了逻辑综合、数字计算、数据路径和逻辑控制等,而物理性能则包含面积、功耗、速度、时延和吞吐率等多方面。目前,国内数字系统集成电路设计与性能优化的图书相对较少,这或将使高性能数字系统集成化设计水平的提升受到制约。
本教材以数字逻辑为基础,以数字计算和数字信号体系架构为重点,深入讨论数字系统的设计原理;同时,从集成化的角度,重点讲述逻辑综合、时序、速度、面积和功耗等物理性能的设计优化,通过对各物理性能的折中分析,实现高性能数字系统的设计方案。本书的具体内容如下:
第1章,概述了在电子信息社会背景下,高级复杂数字系统设计所面临的集成化挑战、设计流程、发展趋势和未来的应用前景等。
第2章,介绍了Verilog硬件描述语言,其中包括基本结构、模块与声明、数据类型与运算符、行为建模和Verilog—2001设计规则等内容。
第3章,讨论了电路逻辑综合优化,其中包括电路面积优化、速度优化、模块间接口设计、复位信号与结构的优化等;介绍了在实现相同逻辑功能的前提下,不同代码描述对应的不同物理结构,从而对面积、速度、功耗带来不同的结果。
第4章,描述了数字系统设计常用的运算单元结构,其中包括逻辑电路中数的表示方法,以及加法器、乘法器等数值计算的基本内容。
第5章,讨论了数字信号计算、数字信号处理的基础,主要包括基本概念、流水线与并行处理、重定时、乘累加计算和脉动阵列等内容。
第6章,介绍了冯·诺依曼体系架构的重点问题———状态机与数据路径,其中包括状态机的概念、分类、描述方法、编码风格、可综合化、性能优化等方面的内容, 以及数据路径中的FSMD、寄存器级数据路径的设计方法、调度与分配等集成化设计实例。
第7章,介绍了数字电路中的重要概念———时序、同步和异步。随着数字系统计算速度的提升,对电路时序的要求日趋严峻,为此介绍了时序参数定义、时钟抖动与偏差、时钟分布、延迟时间等问题,进而讨论了同步与异步逻辑电路的概念和设计方法。
第8章,介绍了集成电路设计中的重点问题———低功耗,包括功耗的种类、定义等概念;讨论了低功耗设计的方法,如系统级、算法级、结构级和电路级等。
第9章,介绍了可重构电路FPGA 和可重构计算,其中包括可重构器件的现状和分类、FPGA 电路结构、可重构系统等。
第10章,作为实例,介绍了当前深度学习人工智能芯片和AES加解密芯片的设计方法,通过神经网络和加解密算法讲解了复杂数字系统算法、架构、电路的设计方法。
限于篇幅,有关“FPGA 设计实现方法”和“ASIC设计实现方法”的内容,本书作为增值服务材料给出,有需要的读者请到增值服务材料包中获取。
本书由李洪革构思并主笔撰写完成,全书凝结了作者数十年集成数字系统设计的工作经验,并吸收、总结了多位学者的研究成果。参与本书编写的人员有郭晓宇、陈宇昊、李玉亮、祝亚楠、高云飞、张子裕、薛翔宇、李岩等多名研究生。在本书的编写过程中,得到了多方面的支持与帮助。特别感谢清华大学王志华老师、北京航空航天大学夏宇闻老师、清华大学刘雷波教授、中国科学院大学杨海钢教授、电子科技大学周军教授的审阅和支持。我校国家集成电路人才培养基地———北京航空航天大学电子信息工程学院的领导和师生,一直对本书给予大力的支持和帮助。本书还获得了北京航空航天大学教材出版基金的支持。北京航空航天大学出版社对本书的出版提供了直接帮助。在此谨向所有为本书的编写、出版给予鼓励和帮助的社会各界人士表示衷心的感谢!
尽管作者对书稿进行了多次修改和推敲,但由于集成系统设计的先进性和快速发展的特点,且作者学识所限,书中不当之处在所难免,恳请使用本书的师生和社会各界人士给予批评、指正。作者的邮箱:honggeli@buaa.edu.cn。
编 者
2021年8月

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 大陸用户 | 海外用户
megBook.com.hk
Copyright © 2013 - 2024 (香港)大書城有限公司  All Rights Reserved.