登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 運費計算  | 聯絡我們  | 幫助中心 |  加入書簽
會員登入 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類瀏覽雜誌 臺灣用戶
品種:超過100萬種各類書籍/音像和精品,正品正價,放心網購,悭钱省心 服務:香港台灣澳門海外 送貨:速遞郵局服務站

新書上架簡體書 繁體書
暢銷書架簡體書 繁體書
好書推介簡體書 繁體書

三月出版:大陸書 台灣書
二月出版:大陸書 台灣書
一月出版:大陸書 台灣書
12月出版:大陸書 台灣書
11月出版:大陸書 台灣書
十月出版:大陸書 台灣書
九月出版:大陸書 台灣書
八月出版:大陸書 台灣書
七月出版:大陸書 台灣書
六月出版:大陸書 台灣書
五月出版:大陸書 台灣書
四月出版:大陸書 台灣書
三月出版:大陸書 台灣書
二月出版:大陸書 台灣書
一月出版:大陸書 台灣書

『簡體書』UVM实战

書城自編碼: 2439368
分類:簡體書→大陸圖書→計算機/網絡程序設計
作者: 张强 编著
國際書號(ISBN): 9787111470199
出版社: 机械工业出版社
出版日期: 2014-07-01


書度/開本: 16开

售價:HK$ 205.4

我要買

 

** 我創建的書架 **
未登入.


新書推薦:
AIGC+机器人:以产业的视角读懂人工智能的未来
《 AIGC+机器人:以产业的视角读懂人工智能的未来 》

售價:HK$ 106.8
示人以真:健康组织这样开展业务
《 示人以真:健康组织这样开展业务 》

售價:HK$ 82.8
格林童话:1812/1815初版合集(权威全译本)
《 格林童话:1812/1815初版合集(权威全译本) 》

售價:HK$ 117.6
思想会·军力:现代战争的胜败解释
《 思想会·军力:现代战争的胜败解释 》

售價:HK$ 117.6
边界之外:海外社会研究(第一辑)
《 边界之外:海外社会研究(第一辑) 》

售價:HK$ 82.8
好销售,不违心:在你的舒适区内拿订单
《 好销售,不违心:在你的舒适区内拿订单 》

售價:HK$ 70.8
阿富汗史:骑驰在风暴中的国度
《 阿富汗史:骑驰在风暴中的国度 》

售價:HK$ 117.6
背影2
《 背影2 》

售價:HK$ 82.8

 

建議一齊購買:

+

HK$ 127.7
《 Axure RP7网站和APP原型制作从入门到精通 》
+

HK$ 384.8
《 Perl语言编程(第四版) 》
+

HK$ 205.4
《 UVM实战 》
+

HK$ 209.4
《 高阶Perl(Perl全球社区公认经典著作,Perl核心贡献者数十年工作经验结晶,Perl 6设计者之一Conway亲自作序推荐) 》
+

HK$ 112.1
《 VB程序设计案例教程 》
編輯推薦:
UVM的学习资料非常匮乏,能够参考的资料,一是《OVM Cookbook》这本英文资料从来没有在国内出版过,另一个是OVMUVM官方的英文参考文档。它们所采用的行文方式更是硬生生地不断引入某些概念,并附加一定的代码来阐述这些概念。在这些前后引入的概念之间。几乎没有什么逻辑关系。有时候看完了一整章都不知道该章介绍的东西有什么用处。最关键的是。整本书看完了也不知道如何搭建一个验证平台。这本《UVM实战卷Ⅰ》的作者张强围绕如何搭建一个基于UVM的验证平台,用丰富的案例和深入浅出的语言,引导读者深入理解UVM验证方法学的使用方法和设计理念。
內容簡介:
张强编著的这本《UVM实战卷Ⅰ》主要介绍UVM 的使用。全书详尽介绍了UVM的factory机制、 sequence机制、phase机制、objection机制及寄存 器模型等的使用。此外,本书还试图引导读者思考 UVM为什么要引入这些机制,从而使读者知其然,更 知其所以然。
本书以一个完整的示例开篇,使得读者一开始就 对如何使用UVM搭建验证平台有总体的概念。本书提 供大量示例代码,这些代码都经过实际的运行。全书 内容力求简单易懂,尽量将UVM中的概念与读者已有 的概念联系起来。在第11章还专门介绍了OVM与UVM的 区别,为那些从OVM迁移到UVM的用户提供很大帮助。
本书主要面向UVM的初学者及想对UVM追根寻底的 中级用户。针对没有面向对象编程基础的用户,本书 在附录中简要介绍了面向对象的概念及 SystemVerilog中区别于其他编程语言的一些特殊语 法。
目錄
第1章 与UVM的第一次接触
 1.1 UVM是什么
  1.1.1 验证在现代IC流程中的位置
  1.1.2 验证的语言
  1.1.3 何谓方法学
  1.1.4 为什么是UVM
  1.1.5 UVM的发展史
 1.2 学了UVM之后能做什么
  1.2.1 验证工程师
  1.2.2 设计工程师
第2章 一个简单的UVM验证平台
 2.1 验证平台的组成
 2.2 只有driver的验证平台
  *2.2.1 最简单的验证平台
  *2.2.2 加入factory机制
  *2.2.3 加入objection机制
  *2.2.4 加入virtual interface
 2.3 为验证平台加入各个组件
  *2.3.1 加入transaction
  *2.3.2 加入env
  *2.3.3 加入monitor
  *2.3.4 封装成agent
  *2.3.5 加入reference model
  *2.3.6 加入scoreboard
  *2.3.7 加入field_automation机制
 2.4 UVM的终极大作:sequence
  *2.4.1 在验证平台中加入sequencer
  *2.4.2 sequence机制
  *2.4.3 default_sequence 的使用
 2.5 建造测试用例
  *2.5.1 加入base_test
  *2.5.2 UVM中测试用例的启动
第3章 UVM基础
 3.1 uvm_component与uvm_object
  3.1.1 uvm_component派生自uvm_object
  3.1.2 常用的派生自uvm_object的类
  3.1.3 常用的派生自uvm_component的类
  3.1.4 与uvm_object相关的宏
  3.1.5 与uvm_component相关的宏
  3.1.6 uvm_component的限制
  3.1.7 uvm_component与uvm_object的二元结构
 3.2 UVM的树形结构
 ……
第4章 UVM中的TLM1.0通信
第5章 UVM验证平台的运行
第6章 UVM中的sequence
第7章 UVM中的寄存器模型
第8章 UVM中的factory机制
第9章 UVM中代码的可重用性
第10章 UVM高级应用
第11章 OVM到UVM的迁移
附录A SystemVerilog使用简介
附录B DUT代码清单
附录C UVM命令行参数汇总
附录D UVM常用宏汇总

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 大陸用户 | 海外用户
megBook.com.hk
Copyright © 2013 - 2024 (香港)大書城有限公司  All Rights Reserved.